相关疑难解决方法(0)

是否有一个如何从Chisel3模块生成verilog的简单示例?

我正在寻找一个简单的howto来转换Verilog中的简单Chisel3模块.

我在凿子的官方网页上给出了Gcd源代码.

  import chisel3._

  class GCD extends Module {
    val io = IO(new Bundle {
      val a  = Input(UInt(32.W))
      val b  = Input(UInt(32.W))
      val e  = Input(Bool())
      val z  = Output(UInt(32.W))
      val v  = Output(Bool())
    })
    val x = Reg(UInt(32.W))
    val y = Reg(UInt(32.W))
    when (x > y) {
      x := x -% y
    }.otherwise {
      y := y -% x
    }
    when (io.e) {
      x := io.a
      y := io.b
    }
    io.z := x
    io.v := y === 0.U …
Run Code Online (Sandbox Code Playgroud)

scala verilog sbt chisel

8
推荐指数
1
解决办法
2155
查看次数

如何从训练营示例中导入getVerilog()函数?

我不确定我是否从以下地方了解如何使用getVerilog函数:https : //github.com/freechipsproject/chisel-bootcamp/blob/master/2.1_first_module.ipynb

[error] passthrough_test.scala:18:11: not found: value getVerilog
[error]   println(getVerilog(new PassThrough(10)))
[error]           ^
[error] one error found
[error] (Test / compileIncremental) Compilation failed
[error] Total time: 1 s, completed Nov 21, 2018 1:53:02 PM
Run Code Online (Sandbox Code Playgroud)

我确实导入了chisel3._,但这似乎还不够。

chisel

3
推荐指数
1
解决办法
141
查看次数

标签 统计

chisel ×2

sbt ×1

scala ×1

verilog ×1