小编Kun*_*kar的帖子

我的 verilog 代码收到此错误“常量表达式的非法操作”

当我编译这段代码时,我会收到以下错误。

module mv2_generate
(
    input [127:0] c_array [1:0],
    input [127:0] p_array [1:0],
    input [127:0] p1_array [1:0],
    output reg [15:0] min_mv
);

   //genvar index;
   integer a, b, index, m;
  //genvar m;
  // a= (m*7)+m+7;
  // b= (m*7)+m;

   reg [7:0] read_dataC;  //registers for C,P,P'
   reg [7:0] read_dataP;
   reg [7:0] read_dataP1;
   reg [15:0] out_pe0;
   reg pe0_en;
   pe PE0(.a(read_dataC),.b(read_dataP),.en(pe0_en),.pe_out(out_pe0));

always @*
begin
//generate
   for (index=0; index<2; index=index+1)
    begin
    // assign  n=n+1;
    //  a=7;
    //  b=0;


      for (m=0; m<16; m=m+1)
      begin
       if(index<2)
        begin
         if (m>=0) …
Run Code Online (Sandbox Code Playgroud)

verilog iverilog

3
推荐指数
1
解决办法
2万
查看次数

已在 macOS 上安装 Dash,但在运行脚本时出错

我正在尝试在 macOS 上通过 Jupiter 笔记本运行 dash。它确实被导入了,当我尝试运行 app.run_server 命令时它失败了。它给了我以下错误

[Errno 8] nodename 或 servname 提供,或未知

在此处输入图片说明

plotly-dash

3
推荐指数
1
解决办法
460
查看次数

标签 统计

iverilog ×1

plotly-dash ×1

verilog ×1