小编Hen*_*kka的帖子

问题:致命错误:[]运算符不支持字符串

我从数据库中获取信息,将其保存在数组中并以循环结构的形式回显它,当我尝试将修改后的信息保存到数据库时,我遇到了问题.

我收到此错误:致命错误:[]运算符不支持....

码:

    $namesql1 = "SELECT name,date,text,date2 FROM table WHERE something= '$something'";
    $nameresult1 = mysql_query($namesql1);
    $countrows = mysql_num_rows($nameresult1);
    while ($row = mysql_fetch_array($nameresult1, MYSQL_ASSOC)) {
        $name[] = $row['name'];
        $date[] = $row['date'];
        $text[] = $row['text'];
        $date2[] = $row['date2 '];
    }

/** SOME CODE HERE **/

    $wrotesql = "UPDATE service_report SET  name ='$name' , $date = '$date',$text = '$text[$nro]', ser_date = '$date2[$nro]' WHERE something = '$something')";

    $wroteresult = mysql_query($wrotesql);
Run Code Online (Sandbox Code Playgroud)

有人可以给我一个暗示我做错了吗?

谢谢.

php mysql

62
推荐指数
4
解决办法
11万
查看次数

帮助:ERROR 1025(HY000):重命名错误....(错误号码:150)

当我尝试运行alter table命令删除列时,我收到此错误:ERROR 1025(HY000):重命名时出错......(错误号:150).

如果我理解正确,这是一个外键问题,但我不知道如何解决它.有人会这么善良并告诉我如何让它发挥作用.

用于创建表的代码:

CREATE TABLE categories(
cid INT AUTO_INCREMENT NOT NULL PRIMARY KEY,
assets_id INT NOT NULL,
cat_name VARCHAR(30) NOT NULL,
INDEX(assets_id),
FOREIGN KEY (assets_id) REFERENCES asset(aid) ON UPDATE CASCADE

)
ENGINE=INNODB DEFAULT CHARSET=utf8;
Run Code Online (Sandbox Code Playgroud)

alter命令:

ALTER TABLE categories DROP COLUMN assets_id;
Run Code Online (Sandbox Code Playgroud)

表类别完全空白.因此,没有信息可以引发CASCADE限制.那么你能帮助我删除列assets_id需要什么样的魔法.谢谢.

mysql

36
推荐指数
1
解决办法
3万
查看次数

尝试删除外键时出错:"ERROR 1025(HY000):"

我在尝试删除外键时遇到了一些麻烦.有人可以帮忙吗?

这是我的SHOW CREATE TABLE catgroup:

| catgroup | CREATE TABLE `catgroup` (
  `catgroupid` int(11) NOT NULL AUTO_INCREMENT,
  `category_id` int(11) NOT NULL,
  `group_id` int(11) NOT NULL,
  PRIMARY KEY (`catgroupid`),
  KEY `category_id` (`category_id`),
  KEY `group_id` (`group_id`),
  CONSTRAINT `catgroup_ibfk_1` FOREIGN KEY (`category_id`) REFERENCES `cat
s` (`cid`) ON UPDATE CASCADE,
  CONSTRAINT `catgroup_ibfk_2` FOREIGN KEY (`group_id`) REFERENCES `groups
d`) ON UPDATE CASCADE
) ENGINE=InnoDB AUTO_INCREMENT=21 DEFAULT CHARSET=utf8 |
Run Code Online (Sandbox Code Playgroud)

这就是我试图删除外键的方式:

ALTER TABLE catgroup DROP FOREIGN KEY group_id_ibfk_2;
Run Code Online (Sandbox Code Playgroud)

这是错误信息:

错误1025(HY000):将".\ asset_base\catgroup"重命名为".\ asset_base"时出错

sql2-16b4-4'(错误号:152)

我究竟做错了什么?

mysql foreign-keys

8
推荐指数
1
解决办法
7395
查看次数

如何比较2个不同长度的数组

我正在尝试创建一个函数,将两个不同长度的数组相互比较,如果它们匹配,则执行一些操作.Array1,cell1与array2,cell1,cell2,cellN ...比较Array1,cell2与array2,cell1,cell2,cellN ...

类似这样的东西:

if(array1[$i]==array2[])
{
   // Some actions...
}
Run Code Online (Sandbox Code Playgroud)

如何实施?

php

4
推荐指数
1
解决办法
2965
查看次数

警告:mysql_query()期望参数1为字符串,


*Warning: mysql_query() expects parameter 1 to be string*
当我尝试在我的PHP代码中运行此查询时,我得到了这个,我不明白为什么.

从命令行输入时运行查询,但我不能让它在PHP中运行.有人可以帮我这个吗?

$pickass = mysql_query("SELECT MAX(aid) FROM asset");
$pickassssult = mysql_query($pickass);
Run Code Online (Sandbox Code Playgroud)

谢谢.

php mysql

0
推荐指数
1
解决办法
2万
查看次数

帮助未定义的索引和未定义的变量

有人可以帮我解决这个问题.我只是将我的PHP/MYSQL程序转移到另一台计算机(都运行XAMPP和localhost),现在我得到了大量未定义的索引和未定义的变量错误.有人可以解释一下为什么吗?

使用isset检查所有变量,并且所有值都应该是正常的,但是我无法按照预期的那样获得任何工作,然后是未定义的索引和未定义的变量ERRORS.

请帮忙!谢谢

php

0
推荐指数
1
解决办法
4427
查看次数

如何获取最后插入的ID?

我试图从PHP中的MySQL数据库中获取最后一个插入的ID,我想知道最好的方法是什么?

我目前正在使用这种解决方案,但必须有一种更好,更安全的方式.有人请帮助我吗?谢谢

Some insert statement...

 $query = "SELECT MAX(aid) FROM asset";
 $result = mysql_query($query);
                            while($row = mysql_fetch_array($result, MYSQL_ASSOC))
                                    {
                                    $pickassssult= $row['MAX(aid)'];


                                    }
Run Code Online (Sandbox Code Playgroud)

php mysql

0
推荐指数
1
解决办法
184
查看次数

VHDL:意外IF的问题

您好我正在尝试在xilinx ISE环境中学习VHDL,我无法使用此代码,我不知道为什么.我尝试使用/不使用ands的单引号,但没有任何作用.有人可以帮帮我吗?

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity teh_3 is
    Port ( thermo_input : in  STD_LOGIC_VECTOR(3 DOWNTO 0);
           too_hot : out  STD_LOGIC;
           too_cold : out  STD_LOGIC;
           just_right : out  STD_LOGIC);
end teh_3;

architecture Behavioral of teh_3 is

begin

IF thermo_input < "1000" THEN
  too_cold <='1' and
  too_hot <='0' and
  just_right <='0';
ELSIF thermo_input > "1011" THEN 
    too_hot <='1' …
Run Code Online (Sandbox Code Playgroud)

vhdl

0
推荐指数
1
解决办法
8590
查看次数

标签 统计

mysql ×5

php ×5

foreign-keys ×1

vhdl ×1