小编Jon*_*Jon的帖子

正在处理端口映射的替代方法?

就我对 vhdl 的理解而言,不可能将端口映射到进程内的组件。我很好奇是否有其他方法来处理条件场景。

这是我目前正在处理的计算器 vhdl 代码示例:

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;


-- OP CODE TABLE --
-- 00   :   LOAD --
-- 01   :   ADD/SUB  --
-- 10   :   Print--
-- 11   :   BEQ  --

-- li - RS Values --
-- 00 : R0 --
-- 01 : R1 --
-- 10 : R2 --
-- 11 : R3 --


-- //      add     | op, rs, rd, rt       //
-- //      sub     | op, rs, rd, rt       // …
Run Code Online (Sandbox Code Playgroud)

vhdl

1
推荐指数
1
解决办法
5501
查看次数

标签 统计

vhdl ×1