小编Eri*_*ada的帖子

VHDL中灵活/通用解码器的想法

我想创建一个地址解码器,它足够灵活,可以在改变选择器的位数和解码的输出信号时使用.

因此,而不是具有静态(固定输入/输出大小)解码器,看起来像这样:

entity Address_Decoder is
Generic
(
    C_INPUT_SIZE: integer := 2
);
Port
(
    input   : in  STD_LOGIC_VECTOR (C_INPUT_SIZE-1 downto 0);
    output  : out STD_LOGIC_VECTOR ((2**C_INPUT_SIZE)-1 downto 0);
    clk : in  STD_LOGIC;
    rst : in  STD_LOGIC
);
end Address_Decoder;

architecture Behavioral of Address_Decoder is

begin        
        process(clk)
            begin
               if rising_edge(clk) then 
                  if (rst = '1') then
                     output <= "0000";
                  else
                     case <input> is
                        when "00" => <output> <= "0001";
                        when "01" => <output> <= "0010";
                        when "10" => <output> <= "0100"; …
Run Code Online (Sandbox Code Playgroud)

fpga vhdl xilinx

7
推荐指数
1
解决办法
8490
查看次数

如何测试MongoDB文档中的字符串字段是否为空?

我试图获得具有空字符串的字段的文档数.这个字段,让我们称之为"Field_One"存在于所有文档中(因此,要清楚,我不是要查找字段是否存在,我想在字段中找到哪些文档没有任何内容(空字符串)"Field_One ".

我尝试使用(使用C#驱动程序):

collection.Find(Query.NE("Field_One", BsonNull.Value)).Count()
collection.Find(Query.NE("Field_One", BsonString.Null)).Count()
Run Code Online (Sandbox Code Playgroud)

甚至(有人在某处建议):

collection.Find(Query.GT("Field_One", BsonString.Empty)).Count()
Run Code Online (Sandbox Code Playgroud)

但它不起作用(它们返回所有文件).

另外,作为一个相关问题:这是获取集合中匹配文档数量的最佳方法吗?据我所知,它实际上不会将文档从数据库检索到我的程序,因此计数计算在MongoDB服务器中完成.

c# mongodb mongodb-query mongodb-.net-driver

7
推荐指数
2
解决办法
1万
查看次数

标签 统计

c# ×1

fpga ×1

mongodb ×1

mongodb-.net-driver ×1

mongodb-query ×1

vhdl ×1

xilinx ×1