小编met*_*xer的帖子

在 VHDL 中实现简单的双端口块 RAM 未按预期执行

我一直在尝试用 VHDL 实现一个简单的双端口 Block RAM,但它在模拟中没有产生预期的结果。这是代码:

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity rams is
generic ( g_adress_width: integer:= 18;
          g_ram_size: integer:= 1000
        );
 port(
    clka : in std_logic;
    clkb : in std_logic;
    wea : in std_logic;
    web : in std_logic;
    addra : in std_logic_vector(g_adress_width-1 downto 0);
    addrb : in std_logic_vector(g_adress_width-1 downto 0);
    dia : in std_logic_vector(15 downto 0);
    dib : in std_logic_vector(15 downto 0);
    doa : out std_logic_vector(15 downto 0);
    dob : out std_logic_vector(15 downto 0));
end rams;
architecture syn …
Run Code Online (Sandbox Code Playgroud)

ram vhdl

4
推荐指数
1
解决办法
2277
查看次数

标签 统计

ram ×1

vhdl ×1