小编Yar*_*aro的帖子

LUT,逻辑单元,逻辑单元,系统门之间的关系

我的问题与这些术语之间的差异和关系有关:

  • 的LUT
  • 逻辑单元
  • 逻辑元素(LE)
  • 系统门

我知道很大程度上取决于FPGA生产商,但是例如FPGA供应商就是其中一个产品的状态:具有100.000系统门的FPGA等效aprox.至1.5k LE.

我如何将此声明与使用LUT和逻辑单元的等效FPGA供应商联系起来?

我问这个问题因为我想将一些项目从一个告诉我System Gates编号和LE的FPGA转移到一个告诉我它的LUT数量的FPGA.

fpga

8
推荐指数
1
解决办法
1万
查看次数

VHDL门控时钟如何避免

我收到了一个避免门控时钟的建议,因为它可能会导致松弛和时间成本问题.但是我想问一下我可以考虑的像门控时钟.例如:

此代码具有门控时钟,因为StopCount对其进行门控.

process(ModuleCLK)
begin
    if (rising_edge(ModuleCLK) and StopCount = '0') then
       if ModuleEN = '0' then
           RESET <= '0';
           POWER <= '1';
           EN <= '0';
           CLOCK <= '0';
           SERIAL <= '0';
       elsif
Run Code Online (Sandbox Code Playgroud)

这段代码还有门控时钟吗?

    process(ModuleCLK)
    begin
        if ModuleEN = '0' then
               RESET <= '0';
               POWER <= '1';
               EN <= '0';
               CLOCK <= '0';
               SERIAL <= '0';
        elsif (rising_edge(ModuleCLK)) then
Run Code Online (Sandbox Code Playgroud)

clock fpga vhdl

4
推荐指数
1
解决办法
4434
查看次数

VHDL如何使用std_logic_vector作为数组的索引

我想使用std_logic_vector作为数组的索引,例如:

Data: in std_logic_vector(7 downto 0);
signal counter : std_logic_vector(3 downto 0);

output <= Data(counter);
Run Code Online (Sandbox Code Playgroud)

由于vhdl语法检查告诉我应该使用和数据作为索引的整数,我想问一下是否可以使用std_logic_vector作为索引.

如果没有,如果我使用这样的计数器:

signal counter : integer range 0 to 7 := 7;
Run Code Online (Sandbox Code Playgroud)

合成器将创建一个8位计数器(因为7是最大值)或者它将创建一个32位计数器?我问这个问题因为如果我将值8分配给计数器vhdl语法检查不告诉我这是一个错误.

vector fpga vhdl

2
推荐指数
1
解决办法
1万
查看次数

标签 统计

fpga ×3

vhdl ×2

clock ×1

vector ×1