有一个简单的测试台,例如:
entity tb is
end entity;
architecture syn of tb is
signal show : boolean;
begin
show <= TRUE after 10 ns;
end architecture;
Run Code Online (Sandbox Code Playgroud)
ModelSim GUI 允许使用“all.do”中的 Tcl 脚本进行仿真和波形查看:
vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns
Run Code Online (Sandbox Code Playgroud)
ModelSim GUI 控制台中的位置do all.do将制作库、编译、加载 tb 模型并显示波形:

如何使用 Aldec Active-HDL 模拟器制作类似的简单 Tcl 脚本以进行类似的模拟?
提交表单时建议使用POST-Redirect-GET模式,以便在用户刷新时无效重新发送POST数据.这可以在处理POST数据的视图中完成,代码如下:
def get_name(request):
if request.method == 'POST':
form = NameForm(request.POST)
if form.is_valid():
interesting_information = 'Feedback after form submit'
return HttpResponseRedirect('/thanks/')
Run Code Online (Sandbox Code Playgroud)
但是使用这个方案,如何interesting_information向用户显示,例如可能是基于提交的表单的状态信息?
有两个数组,如:
a = np.zeros((3, 4), dtype=int)
[[0 0 0 0]
[0 0 0 0]
[0 0 0 0]]
b = np.ones((2, 3), dtype=int)
[[1 1 1]
[1 1 1]]
Run Code Online (Sandbox Code Playgroud)
如何从源数组(b)分配到源中存在的目标数组(a)中的条目?
结果数组应该是:
[[1 1 1 0]
[1 1 1 0]
[0 0 0 0]]
Run Code Online (Sandbox Code Playgroud) 在下面的代码中:
class Klass_1:
a = 1
class Klass_2(Klass_1):
b = 2
k = Klass_2()
Run Code Online (Sandbox Code Playgroud)
它可以同时访问k.a和k.b,和hasattr(k, ...)是True两个"A"和"B".
如何确定属性是位于原始类Klass_2还是继承类Klass_1?
想从函数生成一个字符串,为了格式化一些数据,所以函数应该返回一个字符串.
试图做"明显的",如下所示,但这打印垃圾:
#include <iostream>
#include <string>
char * hello_world()
{
char res[13];
memcpy(res, "Hello world\n", 13);
return res;
}
int main(void)
{
printf(hello_world());
return 0;
}
Run Code Online (Sandbox Code Playgroud)
我认为这是因为用于res函数中定义的变量的堆栈上的内存在写入值之前被覆盖,可能是在printf调用使用堆栈时.
如果我移出char res[13];函数,从而使它成为全局函数,那么它就可以了.
那么有一个可以用于结果的全局字符缓冲区(字符串)的答案是什么?
也许做的事情如下:
char * hello_world(char * res)
{
memcpy(res, "Hello world\n", 13); // 11 characters + newline + 0 for string termination
return res;
}
char res[13];
int main(void)
{
printf(hello_world(res));
return 0;
}
Run Code Online (Sandbox Code Playgroud) 编写一个简单的hello_world.c程序,并使用32位MinGW进行编译,
objdump可以使用以下命令显示符号表:
objdump -t hello_world.exe
Run Code Online (Sandbox Code Playgroud)
然后符号表有一个条目为_main:
...
[ 32](sec 1)(fl 0x00)(ty 20)(scl 2) (nx 1) 0x00000460 _main
...
Run Code Online (Sandbox Code Playgroud)
但是,当hello_world.exe在x64dbg调试器中加载文件时,
_main不会显示该符号,正如hello_world选择模块时从下面的符号列表中可以看到的那样。
这很烦人,因为我想在 中的用户代码开始处创建一个断点hello_world,并且使用符号跳转到开始位置会非常方便。
关于如何获取_main符号列表中包含的符号有什么想法吗?
命名空间在 Python 中被广泛使用,但创建命名空间似乎没有简短的形式,例如需要冗长的types.SimpleNamespace, 以及前面的import types.
那么有没有创建命名空间的语法快捷方式呢?
这是不可能的import types.SimpleNamespace as Ns,因为它给出了错误:
ImportError: No module named 'types.SimpleNamespace'; 'types' is not a package
Run Code Online (Sandbox Code Playgroud) python ×4
python-3.x ×3
active-hdl ×1
assembly ×1
attributes ×1
c ×1
c++ ×1
debugging ×1
django ×1
django-forms ×1
function ×1
numpy ×1
ollydbg ×1
string ×1
symbols ×1
vhdl ×1