假设一个实体定义了两个体系结构。这两种架构使用相同的实体(显然),随后两者将输出引脚设置为不同的值。我的问题是,程序(模拟器)如何确定输出应该是什么(即选择哪种架构)?
下面是一个例子:
library ieee;
use ieee.std_logic_1164.all;
entity Exercise_4 is
generic (n : integer := 4);
port(
a, b : std_logic_vector (n-1 downto 0);
clk, rst : std_logic;
q, qn : buffer std_logic_vector (n-1 downto 0));
end;
architecture one of Exercise_4 is
begin
process (clk, rst)
begin
if rst = '0' then
q <= (others=>'0');
elsif (clk' event and clk = '0') then
q <= a ;
end if;
end process;
process (clk, rst)
begin
if rst = '0' then
qn …Run Code Online (Sandbox Code Playgroud) 假设我有一个类,myClass有两个方法 - addOne()和addTwo().
我有另一个类,添加.该类有一个实例布尔变量oneOrTwo.此变量决定是否在myClass对象上使用addOne()或addTwo().
我已经编写了所有使用addOne()方法的代码行,并且有很多行.我不想做的是复制它们,像这样:
if (oneOrTwo)
{
// all lines of code for addOne()
}
else
{
//all lines of code for addTwo()
}
Run Code Online (Sandbox Code Playgroud)
addOne()的代码行包括很多myClass.addOne()调用(当然我已经创建了一个新对象,一切都运行得很好,但我在这里一般都是为了避免让这个不必要的大帖子).我的问题是,如果我可以这样做:
if (oneOrTwo)
addNumber() = addOne();
else
addNumber() = addTwo();
Run Code Online (Sandbox Code Playgroud)
然后,而不是使用myClass.addOne()或myClass.addTwo() ,我可以使用myClass.addNumber() .
在设计应用程序时使用设计选项卡应该更容易,因为您可以拖放并且更直观,但似乎许多开发人员更喜欢使用文本选项卡(用代码编写设计)。此外,在我目前正在观看的 Udacity 课程视频中,他们教您使用代码设计应用程序。
有什么特殊原因可以解释为什么其中一个比另一个更可取吗?