小编fru*_*bat的帖子

VHDL无符号向量与整数比较

在vhdl中,假设我有一个无符号向量定义如下:

signal s_col_rd_check : unsigned(7 downto 0);
Run Code Online (Sandbox Code Playgroud)

现在,我是否使用以下库,

use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Run Code Online (Sandbox Code Playgroud)

或者以下

use ieee.numeric_std.all;
Run Code Online (Sandbox Code Playgroud)

我可以使用我的无符号向量和整数值之间的比较,如下所示?

some_assignment <= '1' when (s_col_rd_check < 190) else '0';
Run Code Online (Sandbox Code Playgroud)

其中190只是一个整数.如果我使用其中一个库,上述比较是否相同?

谢谢, - 鲁迪

unsigned compare vhdl

4
推荐指数
1
解决办法
1万
查看次数

标签 统计

compare ×1

unsigned ×1

vhdl ×1