如何在VHDL中从工作空间"包含"另一个文件,然后使用在另一个文件中实现的实体的架构?这是我的,但它是不对的:
更新的代码:
library ieee;
use ieee.std_logic_1164.all;
library Part2;
use Part2.all;
entity problem4Gates is
port(X,Clk: in std_logic; Q: out std_logic_vector(2 downto 0)) ;
end entity problem4Gates;
architecture behavioral OF problem4Gates IS
for all: yourGateName use entity Part2.JKflipFlop(jkFF); --port (J, K, Clk, Preset, Clear : in std_logic; Q, Qn : Buffer std_logic) --JKflipFlop --jkFF
signal s0, ns0, s1, ns1, s2, na2, ps0, ps1, ps2, restart : std_logic :='0';
begin
process(clk)
begin
yourgatename( ns0, clk, '0', restart, Q(0), ns0 );
end process;
end …Run Code Online (Sandbox Code Playgroud) 我正在尝试编写一个宏来使用.Copy(MSDN)方法将工作表复制到新的工作簿中,然后保存并通过电子邮件发送这些新创建的文件.
为此,我需要在宏中引用新创建的工作表.我还没有找到一种方法直接使用副本,并且总是犹豫不决Book1.xlsx.
有没有办法获取最近打开的工作簿或轻松比较工作簿集合之前和之后?