我对Modelsim很新,我不断从中得到这个"错误".基本上我用vhdl编写了一个计数器:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity Contatore16bit is
port (
CLK: in std_logic;
RESET: in std_logic;
LOAD: in std_logic;
UP_DOWN: in std_logic;
ENABLE: in std_logic;
USCITA: out unsigned(15 downto 0) );
end Contatore16bit;
architecture Arch of Contatore16bit is
signal temp_value, next_value: unsigned(15 downto 0);
begin
process (CLK)
begin
if CLK'Event and CLK='1' then
if RESET='1' then
temp_value <= (others => '0');
elsif ENABLE='1' then
temp_value <= next_value;
end if;
end if;
--CASE UP_DOWN IS
--WHEN '0' …Run Code Online (Sandbox Code Playgroud) 我正在编写一个必须保留在平板电脑屏幕上的应用程序,每个人都可以访问.
这意味着只有知道密码的人才能访问平板电脑,而路过的用户只能使用该应用.但是,我被困在主页按钮上.有没有办法改变它?禁用,控制,什么?