小编Rud*_*y01的帖子

Linux gvim vs vim

在linux机器上gvim和vim有区别吗?

我安装了VIM编辑器的增强版本,其中包括最近的增强功能:

VIM-增强-2:7.2.411-1.8.el6(x86_64的)

安装完成后,我可以在主目录中看到.viminfo.vimrc.我甚至对.vimrc进行了修改,故意在那里放了一些错误的代码而且它出错了.所以,我肯定知道它在调用vim时调用了.vimrc.我还有一个来自GVIM 的.vimrc,我将该文件复制到这个新的.vimrc中,并且再次没有抱怨.

到现在为止还挺好.

但现在,当我打开VIM时,它会在终端中打开文件!它并没有为GVIM打开一扇新窗口.但我可以看到它几乎拥有所有GVIM功能!

当我打开文件时,如何在单独的窗口中打开它?

linux vi vim editor

19
推荐指数
2
解决办法
5万
查看次数

gdb/ddd程序收到信号SIGILL

我在Linux中使用c ++编写了一个非常简单的程序,它使用cURL库从http(基本上开发了一个http客户端请求)下载来自某个网​​站的图像.http://curl.haxx.se/libcurl/c/allfuncs.html

#define CURL_STATICLIB
#include <stdio.h>
#include <stdlib.h>
#include </usr/include/curl/curl.h>
#include </usr/include/curl/stdcheaders.h>
#include </usr/include/curl/easy.h>

size_t write_data(void *ptr, size_t size, size_t nmemb, FILE *stream) {
    size_t written = fwrite(ptr, size, nmemb, stream);
    return written;
}

int main(void) {
    CURL *curl;
    FILE *fp;
    CURLcode res;

    char *url = "http://www.example.com/test_img.png"; 
    char outfilename[FILENAME_MAX] = "/home/c++_proj/output/web_req_img.png";
    curl = curl_easy_init();
    if (curl) {
        fp = fopen(outfilename,"wb");
        curl_easy_setopt(curl, CURLOPT_URL, url);
        curl_easy_setopt(curl, CURLOPT_WRITEFUNCTION, write_data);
        curl_easy_setopt(curl, CURLOPT_WRITEDATA, fp);
        res = curl_easy_perform(curl);
        /* always cleanup */
        curl_easy_cleanup(curl);
        fclose(fp);
    } …
Run Code Online (Sandbox Code Playgroud)

linux gdb libcurl ddd-debugger raspberry-pi

8
推荐指数
2
解决办法
1万
查看次数

c ++将地址位置视为整数

我想知道是否有可以将变量的地址位置存储为整数值.例如,假设我在内存中的某个位置存储了一个数字

int i= 20;
Run Code Online (Sandbox Code Playgroud)

我们知道,例如,变量i的位置是0x77C79AB2.例如

int * ip = &i;
Run Code Online (Sandbox Code Playgroud)

所以我们知道ip = 0x77C79AB2.

但此时变量ip只是一个指针.但是我要说我现在想将地址位置0x77C79AB2存储到int类型的变量中(不是Pointer类型).

所以,不知何故,我希望能够创建另一个类型(int)的变量来实际存储数字0x77C79AB2作为一个值而不是一个地址位置.

int a = 0x77C79AB2;
Run Code Online (Sandbox Code Playgroud)

所以,我可以用变量a做任何我想做的事情.例如,我想将a视为一个整数,并为其添加十六进制数0x20.

例如

int b = a + 0x20 =  0x77C79AB2 + 0x20 = 0x77C79AD2
Run Code Online (Sandbox Code Playgroud)

这可能吗?我怎么能做这个任务?

c++ pointers pass-by-reference

6
推荐指数
3
解决办法
1万
查看次数

javascript简单的音频音量计

我正在寻找一个非常简单的 Javascript 音频计实现。我遇到了这个:http : //www.smartjava.org/examples/webaudio/example2.html

这是一个很好的例子,但不幸的是,它使用的是 Web Audio API(这里有更多信息):http : //www.smartjava.org/content/exploring-html5-web-audio-visualizing-sound

这工作正常,但不是在所有平台上;例如 IE 不支持它。 http://caniuse.com/#feat=audio-api

知道如何使用 javascript 在 HTML5 中做到这一点吗?

我希望它非常简单。我不需要它准确地代表音量。我想要的只是在音频打开时能够移动一些条。

html javascript jquery html5-audio

5
推荐指数
0
解决办法
5161
查看次数

VHDL无符号向量与整数比较

在vhdl中,假设我有一个无符号向量定义如下:

signal s_col_rd_check : unsigned(7 downto 0);
Run Code Online (Sandbox Code Playgroud)

现在,我是否使用以下库,

use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Run Code Online (Sandbox Code Playgroud)

或者以下

use ieee.numeric_std.all;
Run Code Online (Sandbox Code Playgroud)

我可以使用我的无符号向量和整数值之间的比较,如下所示?

some_assignment <= '1' when (s_col_rd_check < 190) else '0';
Run Code Online (Sandbox Code Playgroud)

其中190只是一个整数.如果我使用其中一个库,上述比较是否相同?

谢谢, - 鲁迪

unsigned compare vhdl

4
推荐指数
1
解决办法
1万
查看次数

用于编译所有的 modelsim 脚本

我有一个 modelsim 项目文件 (*.mpf),其中列出了所有 HDL 文件,并为每个文件提供了“compile_order”。因此,当我加载 (.mpf) 文件时,我可以看到每个 HDL 文件旁边都有一个 compoile_order 编号。到目前为止,一切都很好。

现在,在 GUI 上,我可以运行“compile all”,它将按正确的顺序编译我的所有文件,因为顺序已经预先确定。

我想知道相当于GUI中“编译全部”的tcl命令行是什么?

换句话说,我希望能够键入命令并编译所有文件,而不是通过 GUI“编译所有”。

simulation simulator vhdl modelsim

3
推荐指数
1
解决办法
4078
查看次数

systemVerilog 嵌套叉

我遇到了别人写的代码,我不明白它是如何工作的?

 // Task A
 task sub_run_a();
    while ($time < 50us) begin
    #1us;
    $display("sub_run_a(): ping at time %d", $time);
  end
endtask : sub_run_a

// Task B
task sub_run_b();
  #5us;
  $display("sub_run_b() finished");
endtask : sub_run_b

// Task C
task sub_run_c();
  #10us;
  $display("sub_run_c() finished");
endtask : sub_run_c
Run Code Online (Sandbox Code Playgroud)

这就是测试台的设置方式:

fork 
  fork
    sub_run_c();  
    sub_run_b();
  join
    sub_run_a();
join_any
Run Code Online (Sandbox Code Playgroud)

看仿真结果,好像所有的任务都是并行运行的,不明白是怎么回事。

是不是任务 A 不应该在任务 B 和任务 C 完成之前开始?

但是,情况并非如此,因为这是输出:

# KERNEL: sub_run_a(): ping at time                 1000
# KERNEL: sub_run_a(): ping at time                 2000
# KERNEL: sub_run_a(): ping at …
Run Code Online (Sandbox Code Playgroud)

verilog hdl system-verilog

2
推荐指数
1
解决办法
3305
查看次数

VIM使用当前行号作为命令参数

假设我使用VIM或(GVIM)来做这样的事情:

:100,100+128s/^1/xx/g
Run Code Online (Sandbox Code Playgroud)

因此,换句话说,我将替换以"1"开头的任何行,并将其替换为"xx".但是我只对在行号(100)和(128)之间运行此命令感兴趣.

但是,我希望能够这样做,而不是我硬编码所需的行号(在这种情况下#100),我希望该命令从我的光标当前所在的行获取行号!我该怎么办?我知道我回显线(".")只会显示它,而实际上并不使用命令中的行号.

谢谢, - 鲁迪

vim

1
推荐指数
1
解决办法
179
查看次数