小编too*_*lic的帖子

Verilog中==和===有什么区别?

有什么区别:

if (dataoutput[7:0] == 8'bx) begin
Run Code Online (Sandbox Code Playgroud)

if (dataoutput[7:0] === 8'bx) begin 
Run Code Online (Sandbox Code Playgroud)

执行后dataoutput = 52'bx,第二个给出1,但第一个给出0.为什么?(0或1是比较结果.)

verilog hdl

29
推荐指数
3
解决办法
7万
查看次数

为什么Perl会抱怨"对@_使用隐式拆分"?

此代码触发以下投诉:

#!/usr/bin/perl 
use strict;
use warnings;

my $s = "aaa bbb";
my $num_of_item = split(/\s+/, $s) ;
print $num_of_item;
Run Code Online (Sandbox Code Playgroud)

当我运行代码时,Perl抱怨" Use of implicit split to @_ is deprecated".我真的没有问题的"背景",所以我希望你能帮助解释代码的错误.

perl

26
推荐指数
3
解决办法
2万
查看次数

RAM DEPTH的地址宽度

我正在实现一个可配置的DPRAM,其中RAM DEPTH是参数.

如何从RAM DEPTH确定ADDRESS WIDTH?

我知道关系RAM DEPTH = 2 ^(ADDRESS WIDTH)

即ADDRESS WIDTH = log(base 2)RAM DEPTH.

如何在Verilog中实现log(base 2)函数?

verilog system-verilog

23
推荐指数
2
解决办法
5万
查看次数

在Perl中是否有正则表达式来查找文件的扩展名?

在Perl中是否有正则表达式来查找文件的扩展名?例如,如果我有" test.exe",我怎么会得到" .exe"?

perl

21
推荐指数
2
解决办法
4万
查看次数

将可合成的初始值分配给Verilog中的reg

我是一个试图学习Verilog的FPGA菜鸟.如何将值分配给始终块中的reg,作为初始值或作为常量.我试图在下面的代码中做这样的事情.我收到一个错误,因为8位常量不算作输入.我也不想触发时钟总是关闭.我只想为特定值分配一个寄存器.因为我希望它是可合成的,所以我不能使用初始块.非常感谢.

module top
(
    input wire clk,
    output wire [7:0] led   
 );


reg [7:0] data_reg ; 
always @*
begin
    data_reg = 8'b10101011;
end

assign led = data_reg;

endmodule
Run Code Online (Sandbox Code Playgroud)

verilog

17
推荐指数
5
解决办法
10万
查看次数

为什么不将Verilog视为编程语言?

在课堂上,教授说学生不应该说他们学会了用Verilog编程.他说像Verilog这样的东西不习惯用来设计它.那么Verilog与其他编程语言有何不同?

programming-languages verilog

15
推荐指数
2
解决办法
1万
查看次数

在Perl中使用map或grep

我已经写了几个月的全职perl代码(生物信息学),并且总是试图提高我的技能.就在今天,我突然意识到我从不使用地图或grep.回顾一下我的代码,我意识到这些工具可以在这里或那里省去几行,但只是牺牲了foreach循环的灵活性.我的问题如下:

你有没有遇到过使用map或grep比foreach/for循环带来显着优势的情况,除了保存一行或两行代码之外?

谢谢你的时间!

perl

14
推荐指数
2
解决办法
1066
查看次数

Verilog如何处理负数?

例如,假设我有一个reg [7:0] myReg 我赋值的值-8'D69

我知道Verilog将它存储为2的补码,所以它应该存储为

10111011
Run Code Online (Sandbox Code Playgroud)

我现在的问题是,如果我要对它进行操作,比如myReg/2

它会评估到-34?或者它需要10111011然后把它变成187然后执行分裂,返回93?

verilog negative-number

14
推荐指数
2
解决办法
5万
查看次数

如何使用Perl连接两个索引之间的数组元素?

我有一个字符串数组: @array

我想连接与数组索引开始的所有字符串$i$j.我怎样才能做到这一点?

perl

12
推荐指数
2
解决办法
2万
查看次数

perl - 除了最后一个之外,你如何提取数组的所有元素?

我需要提取除最后一个数组之外的数组中的所有元素,并将它们存储在标量中供以后使用.起初,我认为这可以使用数组切片,但看起来你不能倒数.例如:

my $foo = ($bar[0..-2]);  
Run Code Online (Sandbox Code Playgroud)

要么

my $foo = ($bar[-2..0]);  
Run Code Online (Sandbox Code Playgroud)

任何帮助将不胜感激,因为这开始让我疯了,我一直无法在其他地方或通过实验找到解决方案.
奥斯卡

arrays perl

12
推荐指数
2
解决办法
9654
查看次数