小编Fat*_*ima的帖子

Python:如何检查网络端口是否在Linux上打开?

我如何知道某个端口是否在linux ubuntu上打开/关闭,而不是远程系统,使用python?如何在python中列出这些开放端口?

  • Netstat:有没有办法将netstat输出与python集成?

python linux port netstat

62
推荐指数
9
解决办法
10万
查看次数

创建数据库DVWA的问题

我正在尝试建立Damn Vulnerable Web App(DVWA)(www.dvwa.co.uk).

我按照这些指令安装XAMPP:http://www.apachefriends.org/en/xampp-windows.html 我按照这些指令安装DVWA:http://www.youtube.com/watch?v=GzIj07jt8rM

我去了localhost/dvwa并看到:无法连接到数据库.

 mysql_error()
Run Code Online (Sandbox Code Playgroud)

我尝试设置数据库,它显示"无法连接到数据库 - 请检查配置文件".

/htdocs/dvwa/config/config.inc.php文件显示:

$_DVWA[ 'db_server' ] = 'localhost';

$_DVWA[ 'db_database' ] = 'dvwa';

$_DVWA[ 'db_user' ] = 'root';

$_DVWA[ 'db_password' ] = 'p@ssw0rd';
Run Code Online (Sandbox Code Playgroud)

我尝试更换,localhost with 127.0.0.1但它仍然无法正常工作.

我该如何解决这个问题?

html php mysql database security

6
推荐指数
3
解决办法
3万
查看次数

查找两个节点之间所有路径的高效算法

我目前正在研究递归 DFS,以检索无向且未加权图中两个节点之间的所有路径。它递归地获取起始节点和结束节点,以及该节点及其相邻节点上的DFS,同时保存路径。我想知道是否有更有效的方法来找到所有路径?

algorithm performance graph path-finding time-complexity

5
推荐指数
1
解决办法
2万
查看次数

级别顺序遍历的时间复杂度

二叉树级别顺序遍历的时间复杂度是多少?是O(n)还是O(log n)?

void levelorder(Node *n)
{    queue < Node * >q;
     q.enqueue(n);

     while(!q.empty())
      {
         Node * node = q.front();
         DoSmthwith node;
         q.dequeue();          
         if(node->left != NULL)
         q.enqueue(node->left);
         if (node->right != NULL)
         q.enqueue(node->right);
      }

}
Run Code Online (Sandbox Code Playgroud)

algorithm big-o time-complexity tree-traversal data-structures

4
推荐指数
1
解决办法
4637
查看次数

VHDL时钟分频器:反占空比

我是VHDL的新手.我得到了关于如何从24 MHz的输入时钟信号产生1Hz(50%占空比)的时钟信号的代码.我有一些问题需要进一步澄清.

  1. 如何选择反限制?在下面的例子中,12000000.如果我想生成8Hz时钟信号,这个限制是什么.
  2. 如果我想将占空比改为80%,应如何调整代码?

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity clock is
       port ( CLKin: in std_logic;
              reset: in std_logic;
              CLKout: out std_logic);
    end clock;
    architecture arch of clock is
    
      signal counter: integer:=0;
      signal temp : std_logic := '1';
    begin
    process(CLKin,counter,reset)
    begin
       if(reset='0') then counter<=0; temp<='1';
        elsif(CLKin'event and CLKin='1') then counter <=counter+1;
         if (counter = 12000000) then temp <= NOT temp; counter<=0;
         end if;
        end if;
       CLKout <= temp;
    end process;
    end arch;
    
    Run Code Online (Sandbox Code Playgroud)

counter frequency clock vhdl

1
推荐指数
1
解决办法
2万
查看次数