标签: synopsys-vcs

SystemVerilog:如何在VCS模拟器中使用DPI调用连接C函数?

我有以下文件:

带有功能的C文件:

// funcs.c

#include <stdio.h>

void something() {
    printf("something\n");
    sayHello();
}
Run Code Online (Sandbox Code Playgroud)

系统verilog文件:

// hello_world.v

module kuku;
    export "DPI-C" function sayHello;
    import "DPI-C" function void something();
    initial something();
    function int sayHello ();
        $display("hello world");
        sayHello = 1;
    endfunction
endmodule
Run Code Online (Sandbox Code Playgroud)

如何编译它并使其工作,所以当我something()从SV 调用时,它将调用C函数,当我sayHello()从C 调用时,它将调用SV函数?

c system-verilog synopsys-vcs system-verilog-dpi

4
推荐指数
1
解决办法
7784
查看次数

双冒号 :: 在 Tcl 中

在某些EDA工具的Tcl脚本(即Cadence Enunter)中,double ::是做什么的?

report::TimeStamp PrePlace "START PrePlace"
Run Code Online (Sandbox Code Playgroud)

tcl cadence synopsys-vcs

1
推荐指数
1
解决办法
6275
查看次数