标签: lattice-diamond

Lattice ICE5LP4K FPGA:如何将 HFOSC 添加到用户 vhdl

我在使用 Lattice ICE5LP4K 的内部振荡器时遇到问题。根据 iCE40 振荡器使用指南附录,verilog 中的代码应如下所示:

\n\n
    SB_HFOSC OSCInst0 (\n       .CLKHF_EN(ENCLKHF),\n       .CLKHF_PU(CLKHF_POWERUP),\n       .CLKHF(CLKHF)\n     ) /* synthesis ROUTE_THROUGH_FABRIC= [0|1] */;\n     Defparam OSCInst0.CLKHF_DIV = 2\xe2\x80\x99b00;\n
Run Code Online (Sandbox Code Playgroud)\n\n

我正在使用的代码库采用 VHDL 格式,因此我已将该组件添加到我的架构中,如下所示:

\n\n
  SB_HFOSC : OscInst0\n    port map(\n        CLKHF_EN  => RST_SYS_N;\n        CLKHF_PU  => RST_SYS_N;\n        CLKHF     => HFOSC_CLK_48MHZ\n    );\n
Run Code Online (Sandbox Code Playgroud)\n\n

当我尝试此操作时,收到与组件 SB_HFOSC 未定义相关的错误。然后我找到了这篇文章:lattice FPGA内部振荡器模拟问题,其中提到将lattice组件添加到项目文件中。

\n\n

我在项目中添加了一个新文件,其中包含 sb_ice_syn_vital.vhd 中的以下代码:

\n\n
-----------------------------------------------------\n---         SB_HFOSC        -------\n------------------------------------------------\nlibrary IEEE;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_arith.all;\nuse ieee.std_logic_unsigned.all;\nuse IEEE.Vital_Primitives.all;\nuse IEEE.VITAL_Timing.all;\nentity  SB_HFOSC  is \n    generic( CLKHF_DIV: string:="0b00";\n                Xon   : boolean := true;\n                MsgOn : boolean := …
Run Code Online (Sandbox Code Playgroud)

synthesis fpga lattice-diamond

6
推荐指数
1
解决办法
1981
查看次数

VHDL - "Net pwr不断被驱动"

我正在尝试学习VHDL,作为练习,我正在尝试构建一个使用RS-232样式信令(8N1格式)的非常简单的串行端口.

这是小项目中两个vhdl文件的代码......

"glue.vhd"...(顶级模块)

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use ieee.std_logic_unsigned.all;
library MACHXO2;
use MACHXO2.components.all;

entity Glue is
    port(
        tx : out std_logic := '1' --idle high
        );
end entity Glue;

architecture behavioural of Glue is
    SIGNAL clk  : STD_LOGIC;
    signal divider : std_logic_vector(23 downto 0);

--internal oscillator
    COMPONENT OSCH
      GENERIC(
            NOM_FREQ: string
            );
      PORT( 
            STDBY    : IN  STD_LOGIC;
            OSC      : OUT STD_LOGIC;
            SEDSTDBY : OUT STD_LOGIC);
    END COMPONENT;
begin
--internal oscillator
   OSCInst0: OSCH
      GENERIC MAP (NOM_FREQ  => "3.69")
      PORT …
Run Code Online (Sandbox Code Playgroud)

compiler-errors vhdl lattice-diamond

1
推荐指数
1
解决办法
807
查看次数

标签 统计

lattice-diamond ×2

compiler-errors ×1

fpga ×1

synthesis ×1

vhdl ×1