我正在研究一个项目,但无论我做什么,我都无法理解这段代码的作用.由于我不熟悉VHDL,因此我很难理解这段代码的用途.
library iee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use work.my_package.all;
Entity multiplier is
generic (size: integer :=4);
Port (a,b : in unsigned( size-1 downto 0);
y : out unsigned( size-1 downto 0));
End multiplier ;
ARCHITECTURE behavior of multiplier is
Begin
y<= mult(a,b);
End behavior;
Run Code Online (Sandbox Code Playgroud)
您有一个描述设计界面的实体.在这种情况下输入和输出.这些都是4位值.aby
该架构包含了实现的你正在试图做的(身体,如果你会)是什么.在这种情况下,它只是一个乘法a和b,分配给y.并且(如果你感到困惑)不,<=不代表"小于或等于",但这是一项任务.
| 归档时间: |
|
| 查看次数: |
273 次 |
| 最近记录: |