Pio*_*ski 3 intel vhdl quartus
我正在使用 Quartus Prime Lite Edition,我想在 std_logic_vector 上使用一元运算符nand,如下所示
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity example1 is
port(
BIN : in std_logic_vector (7 downto 0);
result : out std_logic
);
end;
architecture Beh of example1 is
begin
result <= nand BIN;
end Beh;
Run Code Online (Sandbox Code Playgroud)
我尝试按照此说明进行操作,在Compiler Settings中的VHDL Input下更改了VHDL 版本。仍然没有效果并得到: Error (10500): VHDL 语法错误 at lab2.vhd(16) close text "nand"; 需要“(”,或标识符(“nand”是保留关键字),或一元运算符
Quartus Prime Lite 不支持 VHDL 2008。
| 归档时间: |
|
| 查看次数: |
1667 次 |
| 最近记录: |