有一个简单的测试台,例如:
entity tb is
end entity;
architecture syn of tb is
signal show : boolean;
begin
show <= TRUE after 10 ns;
end architecture;
Run Code Online (Sandbox Code Playgroud)
ModelSim GUI 允许使用“all.do”中的 Tcl 脚本进行仿真和波形查看:
vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns
Run Code Online (Sandbox Code Playgroud)
ModelSim GUI 控制台中的位置do all.do将制作库、编译、加载 tb 模型并显示波形:

如何使用 Aldec Active-HDL 模拟器制作类似的简单 Tcl 脚本以进行类似的模拟?
关于 Tcl 使用的 Aldec Active-HDL 文档对于如何从 GUI 使用 Tcl 相当模糊,但经过足够的时间反复试验,得到了积极的结果。
看来需要创建一个带有设计的工作空间,同时创建一个工作库,然后将设计文件编译到库中。
Active-HDL 的生成 Tcl 脚本为:
workspace create pit # Create workspace namded "pit" and open this
design create -a pit . # Create design named "pit" with "pit" library as work and add to workspace
acom $DSN/../tb.vhd # Compile "tb.vhd" file with location relative to workspace
asim work.tb # Load simulator from work library
add wave /tb/show # Add wave "show" to waveform
run 20 ns # Simulate 20 ns
Run Code Online (Sandbox Code Playgroud)
这将给出波形:

| 归档时间: |
|
| 查看次数: |
1541 次 |
| 最近记录: |