Vin*_*eth 1 modelsim system-verilog uvm
我使用下面显示的命令在Questasim中打印事务类的内容.
`uvm_info("VALUES", tx.sprint(), UVM_LOW);
Run Code Online (Sandbox Code Playgroud)
我的交易内容是a,b,ans.它们都是比特.但问题是它将它打印为HEX而不是DECIMAL.它表现得像
ans integral 8 'h1c
如何将其显示为
ans integral 8 'd28
Run Code Online (Sandbox Code Playgroud)
注册uvm类时需要启用UVM_DEC标志:
`uvm_object_utils_begin(your_class)
`uvm_field_int(ans, UVM_ALL_ON | UVM_DEC)
`uvm_object_utils_end
Run Code Online (Sandbox Code Playgroud)
| 归档时间: |
|
| 查看次数: |
934 次 |
| 最近记录: |