-1 verilog
我想在 gtkwave 上显示代码的波形,但是在 CMI 中输入这些语句后:
iverilog -o task_compiled task.v
vvp任务编译
=> VCD 信息:转储文件 main.vcd 打开用于输出
gtkwave任务.vcd
=> GTKwave 分析仪...
error opening .vcd file `task.vcd`
Run Code Online (Sandbox Code Playgroud)
如果这是一个愚蠢的问题,我提前道歉,但我真的厌倦了在这件事上浪费时间。我只想做作业
timescale 1ns/10ps
module main(A_i, B_i, C_i, Y_o);
input A_i, B_i, C_i;
output Y_o;
wire w1, w2, w3, w4;
assign Y_o = w4 ~& w2;
assign w1 = B_i & C_i;
assign w2 = w1 | w3;
assign w3 = w4 | A_i;
assign w4 = A_i ~| w1;
endmodule
module main_tb;
reg a1, b1, c1;
wire y1;
initial begin
$dumpfile("main.vcd");
$dumpvars(0,a1,b1,c1,y1);
a1 = 0;
b1 = 0;
# 10 a1 = 1;
# 10 b1 = 1;
# 10 a1 = 0;
# 10 $finish;
end
main m1 (a1, b1, c1, y1);
endmodule
Run Code Online (Sandbox Code Playgroud)
$dumpfile("main.vcd"); 与 gtkwave 任务.vcd
...如果使用正确的文件名会有帮助。我刚刚添加了 perror() 作为错误消息,以便在 VCD 加载程序失败时提供更多信息。(SVN 提交#954)谢谢。
归档时间: |
|
查看次数: |
4494 次 |
最近记录: |