我试图用Verilog Hdl 中的一些示例开始一个小型课程,在花了很多时间寻找最好的模拟器或IDE来练习一些示例后,我未能弄清楚如何在 Ubuntu 12.04 上使用它
所以,我有两个问题:
如何在 Ubuntu 12.04 上使用 Verilog?
适用于 Linux 的最佳模拟器(或 IDE)是什么?
这篇文章是否有帮助:Linux (Ubuntu) 上的 Verilog 和 VHDL?
它建议结合使用的伊卡洛斯的Verilog(iverilog
对Verilog仿真,在存储库)GHDL为VHDL仿真,和GTKWave(gtkwave
在库),用于波形观看。
如果您想要基于 Eclipse 的 Verilog 编辑器,请尝试veditor。
小智 5
sudo apt-get install iverilog;
sudo apt-get install gtkwave;
Run Code Online (Sandbox Code Playgroud)
要查看一切是否正常工作,让我们做一下 verilog 的 hello-world。
nano hello.v
Run Code Online (Sandbox Code Playgroud)
然后编写以下 hello-world 代码
module main;
initial
begin
$display("Hello world");
$finish;
end
endmodule
Run Code Online (Sandbox Code Playgroud)
然后编译
iverilog hello.v -o hello
Run Code Online (Sandbox Code Playgroud)
最后运行你的代码
vvp hello
Run Code Online (Sandbox Code Playgroud)
小智 3
您可以下载 SynpatiCAD 的 Linux Verilog 模拟器,它与 Ubuntu 兼容。它包括一个命令行模拟器和一个图形IDE。安装后,您可以运行该工具并请求模拟器的 6 个月免费许可证。
归档时间: |
|
查看次数: |
31677 次 |
最近记录: |